From defceef8afef538555c13d33e344a89a828a3d97 Mon Sep 17 00:00:00 2001 From: Thomas Lenz Date: Fri, 20 Dec 2013 12:35:28 +0100 Subject: inital --- src/main/resources/wsdl/szr/szr_pvp_sec.xsd | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 src/main/resources/wsdl/szr/szr_pvp_sec.xsd (limited to 'src/main/resources/wsdl/szr/szr_pvp_sec.xsd') diff --git a/src/main/resources/wsdl/szr/szr_pvp_sec.xsd b/src/main/resources/wsdl/szr/szr_pvp_sec.xsd new file mode 100644 index 0000000..1ac9f89 --- /dev/null +++ b/src/main/resources/wsdl/szr/szr_pvp_sec.xsd @@ -0,0 +1,11 @@ + + + + + + + + + + \ No newline at end of file -- cgit v1.2.3